Simulating Verilog on Linux